Icarus Verilog
Advertisement
Forums: Index > Help desk > How to get waveforms using gtkwave command?



Hello,

I am new to Icarus Verilog. I read the manual but still don't understand how to dump the simulation of a program to the dump.lxt file in order to generate the waveforms using gtkwave command. Can anyone please give me a step by step instruction to set it up?

Thank you


I'm going to assume you know how to use $dumpvars() to dump things to a file specified using $dumpfile(). The trick in Icarus is that it uses the same commands for all the different dumping formats. You specify the dumper to use with a flag passed to the vvp program.

vvp <your_file> -vcd or no flag will dump using the vcd format.

vvp <your_file> -lxt will dump using the lxt format.

vvp <your_file> -lxt2 will dump using the lxt2 format.

vvp <your_file> -none can be used to suppress dumping.

There are aliases for some of these and some have flags that can control the compression. Take a look at the vvp manual page for more information.

Hopefully this is enough information to get you going.

Cary 05:52, January 8, 2010 (UTC)

Advertisement