Icarus Verilog
(Describe how to actually use gtkwave with Icarus Verilog)
No edit summary
Line 1: Line 1:
  +
[[Category:3rd Party Tools]]
  +
 
GTKWAVE - A VCD waveform viewer based on the GTK library.
 
GTKWAVE - A VCD waveform viewer based on the GTK library.
 
This viewer support VCD and LXT formats for signal dumps.
 
This viewer support VCD and LXT formats for signal dumps.

Revision as of 05:19, 19 December 2008


GTKWAVE - A VCD waveform viewer based on the GTK library. This viewer support VCD and LXT formats for signal dumps.

The home page for GTKWAVE is here.

Generating VCD/LXT files for GTKWAVE

Waveform dumps are written by the Icarus Verilog runtime program vvp. The user uses $dumpfile and $dumpvars system tasks to enable waveform dumping, then the vvp runtime takes care of the rest. The output is written into the file specified by the $dumpfile system task, or absent a $dumpfile call the compiler will choose the file name dump.vcd or dump.lxt, depending on runtime flags.

By default, the vvp runtime will generate VCD dump output. This is the default because it is the most portable. However, when using gtkwave, the LXT output format is faster and most compact. Use the "-lxt2" extended argument to activate LXT output. For example, if your compiled output is written into the file "foo.vvp", the command:

% vvp foo.vvp -lxt2 <other-plusargs>

will cause the dumpfile output to be written in LXT2 format. Absent any specific $dumpfile command, this file will be called dump.lxt, which can be viewed with the command:

% gtkwave dump.lxt