Icarus Verilog
No edit summary
No edit summary
Line 11: Line 11:
 
before making major changes.)
 
before making major changes.)
   
  +
== [[Introduction|Introduction]] ==
  +
  +
Where does Icarus Verilog fit in the world?
   
 
== [[Installation_Guide|Installation Guide]] ==
 
== [[Installation_Guide|Installation Guide]] ==

Revision as of 23:32, 18 October 2006

Welcome to Icarus Verilog.
We are currently editing over 51 articles, and you can help

About this wiki | New pages | Popular pages | Categories | Wiki tutorial (Central wikia) | Help pages

Icarus Verilog

This is the main page for the Icarus Verilog[1] documentation. From here, branch out to the different sorts of documentation you are looking for. In all cases, the documentation is in wiki form, so that you can update or improve it (although I encourage discussion first before making major changes.)

Introduction

Where does Icarus Verilog fit in the world?

Installation Guide

For information on getting and installing Icarus Verilog, go here.

User Guide

This describes the basics of how to use Icarus Verilog, including a description of its basic features and how they apply to Verilog design.

FAQ

For the Icarus Verilog FAQ, go here. This includes hints on various sorts of common problems.

This Wiki In General

To write a new Icarus Verilog article, enter the page title in the box below.