Icarus Verilog
Line 37: Line 37:
 
Unfinished section..
 
Unfinished section..
   
==Download==
+
===Download===
 
Download source from ftp://icarus.com/pub/eda/verilog (choose snapshot folder for latest development release).
 
Download source from ftp://icarus.com/pub/eda/verilog (choose snapshot folder for latest development release).
   
 
Look for the latest tar.gz file
 
Look for the latest tar.gz file
  +
 
==Compilation==
 
==Compilation==
 
Untar the downloaded tar.gz file. Eg.
 
Untar the downloaded tar.gz file. Eg.

Revision as of 12:12, 6 September 2012

Welcome to Icarus Verilog.
We are currently editing over 51 articles, and you can help

About this wiki | New pages | Popular pages | Categories | Wiki tutorial (Central wikia) | Help pages


The Icarus Verilog Wiki

This is the main page for the Icarus Verilog[1] documentation. From here, branch out to the different sorts of documentation you are looking for. In all cases, the documentation is in wiki form, so that you can update or improve it (although I encourage discussion first before making major changes.)

This wiki in general presumes at least a basic working knowledge of the hardware description language Verilog. For basic information about the purpose and provenance of the language itself, the best starting point is the Wikipedia article here. If you are looking to learn the language in detail, then there are many books on the subject.

And of course ultimately it all comes down to the official language reference, IEEE Std 1364-2005 (not free) from the IEEE.

The "ABOUT" page has more information about this wiki, including pointers to editing tutorials.

The Icarus Verilog home page is at iverilog.icarus.com.

Installation Guide

Unfinished section..

Download

Download source from ftp://icarus.com/pub/eda/verilog (choose snapshot folder for latest development release).

Look for the latest tar.gz file

Compilation

Untar the downloaded tar.gz file. Eg.

tar xzf <GZ file>

Change directory to extracted folder

User Guide

This describes the basics of how to use Icarus Verilog, including a description of its basic features and how they apply to Verilog design.

Developer Guide

This describes how you too can be an Icarus Verilog developer, including developer specific tips on getting and working with the source.

FAQ

For the Icarus Verilog FAQ, go here. This includes hints on various sorts of common problems.

Graffiti

Freeform to-do list/comment board/soap box for a less constrained flow of ideas on the ongoing development of Icarus Verilog.

Glossary

Brief definitions of common terms related to Icarus Verilog.