Icarus Verilog
(Add reference to glossary)
(Undo revision 4285 - this link is provided in the User Guide)
(41 intermediate revisions by 21 users not shown)
Line 1: Line 1:
 
=== The [[Project:About|{{SITENAME}}]] Wiki ===
{{maintop}}
 
{{box1 start}}
 
<!-- remove the text you don't want, and type here to fill in the blue box -->
 
   
  +
This is the main page for the
=== [[Project:About|{{SITENAME}}]] ===
 
  +
''Icarus Verilog''[http://iverilog.icarus.com]
 
  +
documentation.
This is the main page for the ''Icarus Verilog''[http://www.icarus.com/pub/eda] documentation.
 
 
From here, branch out to the different sorts of documentation you are looking for.
 
From here, branch out to the different sorts of documentation you are looking for.
 
In all cases, the documentation is in
 
In all cases, the documentation is in
Line 11: Line 9:
 
before making major changes.)
 
before making major changes.)
   
  +
This wiki in general presumes at least a basic working
== [[Introduction|Introduction]] ==
 
  +
knowledge of the hardware description language Verilog. For
  +
basic information about the purpose and provenance of the
  +
language itself, the best starting point is the Wikipedia
  +
article [http://en.wikipedia.org/wiki/Verilog here].
  +
If you are looking to learn the language in detail, then
  +
there are many books on the subject.
   
  +
And of course ultimately it all comes down to the official
Where does Icarus Verilog fit in the world?
 
  +
language reference,
  +
[http://ieeexplore.ieee.org/ISOL/standardstoc.jsp?punumber=10779 IEEE Std 1364-2005] (''not free'')
  +
from the IEEE. Recently IEEE 1800-2012 version of SystemVerilog LRM (which is a superset of 1364 LRM) is made available free via GET program, see: http://cvcblr.com/?p=844
  +
  +
The "[[Icarus_Verilog:About|ABOUT]]" page has more information
  +
about this wiki, including pointers to editing tutorials.
  +
  +
The Icarus Verilog home page is at [http://iverilog.icarus.com iverilog.icarus.com].
   
 
== [[Installation_Guide|Installation Guide]] ==
 
== [[Installation_Guide|Installation Guide]] ==
   
For information on getting and installing Icarus Verilog, go here.
+
The [[Installation_Guide|Installation Guide]] has details on where to get Icarus Verilog
  +
and how to install it.
   
 
== [[User_Guide|User Guide]] ==
 
== [[User_Guide|User Guide]] ==
Line 24: Line 37:
 
features and how they apply to Verilog design.
 
features and how they apply to Verilog design.
   
== [[FAQ|FAQ]] ==
+
== [[Developer_Guide|Developer Guide]] ==
   
For the Icarus Verilog FAQ, go here.
+
This describes how you to can be an '' Icarus Verilog'' developer,
  +
including developer specific tips on getting and working with the
This includes hints on various sorts of common problems.
 
  +
source.
   
== [[Glossary|Glossary]] ==
+
== [[Graffiti]] ==
   
  +
Freeform to-do list/comment board/soap box for a less constrained
Breif definitions of common terms related to Icarus Verilog.
 
  +
flow of ideas on the ongoing development of Icarus Verilog.
   
== This Wiki In General ==
+
== [[Glossary|Glossary]] ==
 
* If you are new to wikis, you may want to try the '''[[Wikia:Help:Tutorial 1|tutorial]]''' on the Central Wikia.
 
* There is some advice on getting this wiki started at '''[[Help:Starting this wiki]]'''.
 
* A list of all help pages can be found at '''[[:Category:Help]]'''.
 
   
 
Brief definitions of common terms related to Icarus Verilog.
To write a new {{SITENAME}} article, enter the page title in the box below.
 
   
{{new article box}}
 
{{boxes end}}
 
   
 
<!-- Please note that Wikia protection policy advises against the protection of this page -->
 
<!-- Please note that Wikia protection policy advises against the protection of this page -->

Revision as of 09:54, 13 October 2020

The Icarus Verilog Wiki

This is the main page for the Icarus Verilog[1] documentation. From here, branch out to the different sorts of documentation you are looking for. In all cases, the documentation is in wiki form, so that you can update or improve it (although I encourage discussion first before making major changes.)

This wiki in general presumes at least a basic working knowledge of the hardware description language Verilog. For basic information about the purpose and provenance of the language itself, the best starting point is the Wikipedia article here. If you are looking to learn the language in detail, then there are many books on the subject.

And of course ultimately it all comes down to the official language reference, IEEE Std 1364-2005 (not free) from the IEEE. Recently IEEE 1800-2012 version of SystemVerilog LRM (which is a superset of 1364 LRM) is made available free via GET program, see: http://cvcblr.com/?p=844

The "ABOUT" page has more information about this wiki, including pointers to editing tutorials.

The Icarus Verilog home page is at iverilog.icarus.com.

Installation Guide

The Installation Guide has details on where to get Icarus Verilog and how to install it.

User Guide

This describes the basics of how to use Icarus Verilog, including a description of its basic features and how they apply to Verilog design.

Developer Guide

This describes how you to can be an Icarus Verilog developer, including developer specific tips on getting and working with the source.

Graffiti

Freeform to-do list/comment board/soap box for a less constrained flow of ideas on the ongoing development of Icarus Verilog.

Glossary

Brief definitions of common terms related to Icarus Verilog.