Icarus Verilog
Advertisement

VCD - or Value Change Dump is the standard dump format for verilog designs. Use this to save the state of a simulation as it runs.

Advertisement